Using Verilog